Welcome![Sign In][Sign Up]
Location:
Search - display vga vhdl

Search list

[Graph DrawingVGAsingl

Description: fpga显示控制器,利用vhdl语言实现,只能显示8色。-fpga display controller, using vhdl language, the only shows that eight colors.
Platform: | Size: 1024 | Author: lyc | Hits:

[VHDL-FPGA-VerilogVGA_test50m

Description: VGA显示: 连接显示器到开发板显示器接口,显示器会显示 640x480 的彩条 -VGA Display: Connect monitor to the development board display interface, the display will show the color of 640x480
Platform: | Size: 228352 | Author: panda | Hits:

[VHDL-FPGA-VerilogAvalon_VGA

Description: vga显示彩色图像ip,alter开发板-vga display color image,vhdl,quartus
Platform: | Size: 13312 | Author: licheng | Hits:

[VHDL-FPGA-VerilogVga

Description: The code is used to interface PC monitor with Spartan 3E for the display. if you run the program on spartan 3 you would be able to see different test pattern on the monitor screen
Platform: | Size: 626688 | Author: asit | Hits:

[OtherEDAandVHDL

Description: EDA技术与VHDL 实用电路设计 步进电机和直流电机控制,VGA显示控制器设计,存储示波器设计,通用异步收发器设计,频率相位计设计,DDS设计,-EDA technology and VHDL practical circuit design stepper motors and DC motor control, VGA display controller design, storage oscilloscope design, universal asynchronous receiver design, frequency, phase meter design, DDS design,
Platform: | Size: 1571840 | Author: viet | Hits:

[Picture ViewerFPGA_VGA_displaydoctum

Description: 使用 FPGA 控制 VGA 显示 相关知识介绍:包括 显示器术语 显示卡术语 VGA 时序设计 色彩原理 显示 源代码 相关测试图片-The use of FPGA control VGA display relevant knowledge, Introduction: terminology, including display graphics card design color theory terminology VGA timing related test images show the source code
Platform: | Size: 53248 | Author: 林锦鸿 | Hits:

[VHDL-FPGA-VerilogVGAPWM

Description: FPGA PWM control and VGA display use VHDL language with simulation.
Platform: | Size: 309248 | Author: xiaominjin | Hits:

[VHDL-FPGA-VerilogPS2-VGA

Description: VHDL- introduce keywords from keyboard and displaying on a VGA display
Platform: | Size: 674816 | Author: Alex | Hits:

[VHDL-FPGA-Verilogvga

Description: 用VHDL实现VGA显示,在VGA显示器上显示彩色条,用的FPGA是Lattice公司的XP2-5.程序测试通过,附图片资料-VHDL implementation with VGA display, the VGA color monitor display section, with the FPGA, Lattice' s XP2-5. Procedures tested, with a picture information
Platform: | Size: 716800 | Author: | Hits:

[VHDL-FPGA-VerilogVGA

Description: 利用VGA显示的VHDL语言的程序,很好看-VGA display using VHDL language program, very nice
Platform: | Size: 1060864 | Author: zhangshan | Hits:

[VHDL-FPGA-Verilog8052-vga

Description: 用VHDL语言设计的,可以用显示器显示汉字或者字符的源码程序-Designed with the VHDL language can be used to display Chinese characters or character of the source program! ! !
Platform: | Size: 4096 | Author: 江平 | Hits:

[VHDL-FPGA-VerilogVGA

Description: 基于VHDL语言的VGA显示控制程序,可以在1440x900分辨率的显示器上显示-Based on VHDL VGA display control program in 1440x900 resolution display on the monitor
Platform: | Size: 2048 | Author: 王雷 | Hits:

[VHDL-FPGA-Verilogvga

Description: vga显示程序,vhdl,能够在vga显示器上显示图片-vga display program, vhdl, can display a picture on vga monitor
Platform: | Size: 3477504 | Author: 李亮 | Hits:

[VHDL-FPGA-Verilogvga

Description: vga 使用vhdl语言实现一张图片的显示,具有完整的工程及源代码,下载验证通过(注意硬件差别)-vga vhdl language to display a picture, have a complete engineering and source code, download the verification (note the hardware differences)
Platform: | Size: 693248 | Author: liezhuo | Hits:

[VHDL-FPGA-VerilogVGA-LCD

Description: FPGA的VGA和LCD显示,用VHDL语言实现-FPGA VGA and LCD display, with VHDL
Platform: | Size: 167936 | Author: 胡俊杰 | Hits:

[Software EngineeringVGA

Description: vhdl 实现vga 控制,可以显示图像-vga vhdl achieve control, can display images
Platform: | Size: 699392 | Author: | Hits:

[VHDL-FPGA-VerilogVGA RefComp

Description: vga显示源码,官方提供示例,有vhdl基础的人更容易看懂,刚学习vhdl会偏难(VGA display source code, the official example, there are VHDL based people easier to understand, just learning VHDL will be difficult)
Platform: | Size: 106496 | Author: 铭刻 | Hits:

[VHDL-FPGA-VerilogVGA

Description: 在quartus平台上用vhdl语言编写VGA显示程序(On the quartus platform, the VGA display program is written in VHDL language)
Platform: | Size: 450560 | Author: cmcmr | Hits:

[VHDL-FPGA-VerilogVGA显示汉字VHDL程序

Description: 使用vhdl语言编写的,通过vga在屏幕上显示汉字(Using VHDL language, through the VGA display Chinese characters on the screen)
Platform: | Size: 1024 | Author: qjjjun | Hits:

[Graph program基于VHDL语言的VGA图像显示程序及其工程文件

Description: 用VHDL语言实现FPGA的VGA显示,显示一幅图片,(Implementation of FPGA's VGA display)
Platform: | Size: 38912 | Author: chenqh848 | Hits:
« 1 2 3 45 6 7 8 9 10 »

CodeBus www.codebus.net